Very Large Scale Integration (VLSI)

Join VLSI Whatsapp Group
Very Large Scale Integration (VLSI) is at the heart of every computing device today and is going to grow in years to come. For the numerous opportunities that VLSI would present for electronics engineers, we are here to present you the roadmap into entering the semiconductor world as an Expert with our Silicon Proven Training

5 day Workshop 1

Beginner Physical design using open-source EDA Tools

Build and configure SoC, understand analog peripheral (ADC, DAC, POR), digital peripheral (UART, flash controller), memory mapping, top level connections like pad-frame, level-shifters, GPIO

View & Enroll

5 day Workshop 2

Advanced Physical Design using OpenLANE/Sky130

From a RTL netlist to final tape-out, each phase of PnR brings it’s own challenges and surprises. Learn SoC design planning in Openlane flow using the latest Google-SkyWater 130nm process node.

To Be Announced

Internship

8 Week Research Internship with VLSI System Design Pvt. Ltd.

Hands-on with on-Chip PLL Designed by the Student

To Be Announced

About Industry Expert

This workshop is being brought to you by 13x Learning in association with VLSI System Design

Mr. Kunal P Ghosh is the Director and co-founder of VLSI System Design (VSD) Corp. Pvt. Ltd. Prior to launching VSD in 2017, Kunal held several technical leadership positions at Qualcomm's Test-chip business unit. He joined Qualcomm in 2010.

He led the Physical design and STA flow development of 28nm, 16nm test-chips. At 2013, he joined Cadence as Lead Sales Application engineer for Tempus STA tool. Kunal holds a Masters degree in Electrical Engineering from Indian Institute of Technology (IIT), Bombay, India and specialized in VLSI Design & Nanotechnology.

Frequently Asked Questions

What is VSD-IAT?

VSD – Intelligent Assessment Technology (VSD-IAT) is expertly built training platform and is suited for designer requirements.
Check out more about VSD-IAT here

Why VSD-IAT workshops?

With VSD workshops, you can

  • Explore all RISC-V and VLSI concepts using open-source tools
  • Zero restrictions on using platform across all time-zones
  • Custom made content by VSD experts, based on recent ISA and VLSI trends and technologies
  • Workshop design methods gives Freedom to explore new design after workshop on the open source tools

Share with your friends who might be interested